Early Layout Design Exploration in TSV-based 3D Integrated Circuits

Early Layout Design Exploration in TSV-based 3D Integrated Circuits
Author :
Publisher :
Total Pages : 168
Release :
ISBN-10 : OCLC:1025411052
ISBN-13 :
Rating : 4/5 ( Downloads)

Book Synopsis Early Layout Design Exploration in TSV-based 3D Integrated Circuits by :

Download or read book Early Layout Design Exploration in TSV-based 3D Integrated Circuits written by and published by . This book was released on 2017 with total page 168 pages. Available in PDF, EPUB and Kindle. Book excerpt: Through silicon via (TSV) based 3D integrated circuits have inspired a novel design paradigm which explores the vertical dimension, in order to alleviate the performance and power limitations associated with long interconnects in 2D circuits. TSVs enable vertical interconnects across stacked and thinned dies in 3D-IC designs, resulting in reduced wirelength, footprint, faster speed, improved bandwidth, and lesser routing congestion. However, the usage of TSVs itself gives rise to many critical design challenges towards the minimization of chip delay and power consumption. Therefore, realization of the benefits of 3D ICs necessitates an early and realistic prediction of circuit performance during the early layout design stage. The goal of this thesis is to meet the design challenges of 3D ICs by providing new capabilities to the existing floorplanning framework [87]. The additional capabilities included in the existing floorplanning tool is the co-placement of TSV islands with circuit blocks and performing non-deterministic assignment of signals to TSVs. We also replace the wirelength and number of TSVs in the floorplanning cost function with the total delay in the nets. The delay-aware cost function accounts for RC delay impact of TSVs on the delay of individual signal connection, and obviates the efforts required to balance the weight contributions of wirelength and TSVs in the wirelength-aware floorplanning. Our floorplanning tool results in 5% shorter wirelength and 21% lesser TSVs compared to recent approaches. The delay in the cost function improves total delay in the interconnects by 10% - 12% compared to wirelength-aware cost function. The influence of large coupling capacitance between TSVs on the delay, power and coupling noise in 3D interconnects also offers serious challenges to the performance of 3D-IC. Due to the degree of design complexity introduced by TSVs in 3D ICs, the importance of early stage evaluation and optimization of delay, power and signal integrity of 3D circuits cannot be ignored. The unique contribution of this work is to develop methods for accurate analysis of timing, power and coupling noise across multiple stacked device layers during the floorplanning stage. Incorporating the impact of TSV and the stacking of multiple device layers within floorplanning framework will help to achieve 3D layouts with superior performance. Therefore, we proposed an efficient TSV coupling noise model to evaluate the coupling noise in the 3D interconnects during floorplanning. The total coupling noise in 3D interconnects is included in the cost function to optimize positions of TSVs and blocks, as well as nets-to-TSVs assignment to obtain floorplans with minimized coupling noise. We also suggested diagonal TSV arrangement for larger TSV pitch and nonuniform pitch arrangement for reducing worst TSV-to-TSV coupling, thereby minimizing the coupling noise in the interconnects. This thesis also focuses on more realistic evaluation and optimization of delay and power in TSV based 3D integrated circuits considering the interconnect density on individual device layers. The floorplanning tool uses TSV locations and delay, non-uniform interconnect density across multiple stacked device layers to assess and optimize the buffer count, delay, and interconnect power dissipation in a design. It is shown that the impact of non-uniform interconnect density, across the stacked device layers, should not be ignored, as its contribution to the performance of the 3D interconnects is consequential. A wire capacitance-aware buffer insertion scheme is presented that determines the optimal distance between adjacent buffers on the individual device layers for nonuniform wire density between stacked device layers. The proposed approach also considers TSV location on a 3D wire to optimize the buffer insertion around TSVs. For 3D designs with uniform wire density across stacked device layers, we propose a TSV-aware buffer insertion approach that appropriately models the TSV RC delay impact on interconnect delay to determine the optimum interval between adjacent buffers for individual 3D nets. Moreover, our floorplanning tool help achieve 3D layouts with superior performance by incorporating the impact of nonuniform density on the delay, power and coupling noise in the interconnects during floorplanning.


Early Layout Design Exploration in TSV-based 3D Integrated Circuits Related Books

Early Layout Design Exploration in TSV-based 3D Integrated Circuits
Language: en
Pages: 168
Authors:
Categories: Integrated circuits
Type: BOOK - Published: 2017 - Publisher:

DOWNLOAD EBOOK

Through silicon via (TSV) based 3D integrated circuits have inspired a novel design paradigm which explores the vertical dimension, in order to alleviate the pe
Design for High Performance, Low Power, and Reliable 3D Integrated Circuits
Language: en
Pages: 573
Authors: Sung Kyu Lim
Categories: Technology & Engineering
Type: BOOK - Published: 2012-11-27 - Publisher: Springer Science & Business Media

DOWNLOAD EBOOK

This book provides readers with a variety of algorithms and software tools, dedicated to the physical design of through-silicon-via (TSV) based, three-dimension
Placement for Fast and Reliable Through-silicon-via (TSV) Based 3D-IC Layouts
Language: en
Pages:
Authors: Krit Athikulwongse
Categories: Integrated circuits
Type: BOOK - Published: 2012 - Publisher:

DOWNLOAD EBOOK

The objective of this research is to explore the feasibility of addressing the major performance and reliability problems or issues, such as wirelength, stress-
Designing TSVs for 3D Integrated Circuits
Language: en
Pages: 82
Authors: Nauman Khan
Categories: Technology & Engineering
Type: BOOK - Published: 2012-09-23 - Publisher: Springer Science & Business Media

DOWNLOAD EBOOK

This book explores the challenges and presents best strategies for designing Through-Silicon Vias (TSVs) for 3D integrated circuits. It describes a novel techni
Design-for-Test and Test Optimization Techniques for TSV-based 3D Stacked ICs
Language: en
Pages: 260
Authors: Brandon Noia
Categories: Technology & Engineering
Type: BOOK - Published: 2013-11-19 - Publisher: Springer Science & Business Media

DOWNLOAD EBOOK

This book describes innovative techniques to address the testing needs of 3D stacked integrated circuits (ICs) that utilize through-silicon-vias (TSVs) as verti