Placement for Fast and Reliable Through-silicon-via (TSV) Based 3D-IC Layouts

Placement for Fast and Reliable Through-silicon-via (TSV) Based 3D-IC Layouts
Author :
Publisher :
Total Pages :
Release :
ISBN-10 : OCLC:844686423
ISBN-13 :
Rating : 4/5 ( Downloads)

Book Synopsis Placement for Fast and Reliable Through-silicon-via (TSV) Based 3D-IC Layouts by : Krit Athikulwongse

Download or read book Placement for Fast and Reliable Through-silicon-via (TSV) Based 3D-IC Layouts written by Krit Athikulwongse and published by . This book was released on 2012 with total page pages. Available in PDF, EPUB and Kindle. Book excerpt: The objective of this research is to explore the feasibility of addressing the major performance and reliability problems or issues, such as wirelength, stress-induced carrier mobility variation, temperature, and quality trade-offs, found in three-dimensional integrated circuits (3D ICs) that use through-silicon vias (TSVs) at placement stage. Four main works that support this goal are included. In the first work, wirelength of TSV-based 3D ICs is the main focus. In the second work, stress-induced carrier mobility variation in TSV-based 3D ICs is examined. In the third work, temperature inside TSV-based 3D ICs is investigated. In the final work, the quality trade-offs of TSV-based 3D-IC designs are explored. In the first work, a force-directed, 3D, and gate-level placement algorithm that efficiently handles TSVs is developed. The experiments based on synthesized benchmarks indicate that the developed algorithm helps generate GDSII layouts of 3D-IC designs that are optimized in terms of wirelength. In addition, the impact of TSVs on other physical aspects of 3D-IC designs is also studied by analyzing the GDSII layouts. In the second work, the model for carrier mobility variation caused by TSV and STI stresses is developed as well as the timing analysis flow considering the stresses. The impact of TSV and STI stresses on carrier mobility variation and performance of 3D ICs is studied. Furthermore, a TSV-stress-driven, force-directed, and 3D placement algorithm is developed. It exploits carrier mobility variation, caused by stress around TSVs after fabrication, to improve the timing and area objectives during placement. In addition, the impact of keep-out zone (KOZ) around TSVs on stress, carrier mobility variation, area, wirelength, and performance of 3D ICs is studied. In the third work, two temperature-aware global placement algorithms are developed. They exploit die-to-die thermal coupling in 3D ICs to improve temperature during placement. In addition, a framework used to evaluate the results from temperature-aware global placements is developed. The main component of the framework is a GDSII-level thermal analysis that considers all structures inside a TSV-based 3D IC while computing temperature. The developed placers are compared with several state-of-the-art placers published in recent literature. The experimental results indicate that the developed algorithms help improve the temperature of 3D ICs effectively. In the final work, three block-level design styles for TSV-based die-to-wafer bonded 3D ICs are discussed. Several 3D-IC layouts in the three styles are manually designed. The main difference among these layouts is the position of TSVs. Finally, the area, wirelength, timing, power, temperature, and mechanical stress of all layouts are compared to explore the trade-offs of layout quality.


Placement for Fast and Reliable Through-silicon-via (TSV) Based 3D-IC Layouts Related Books

Placement for Fast and Reliable Through-silicon-via (TSV) Based 3D-IC Layouts
Language: en
Pages:
Authors: Krit Athikulwongse
Categories: Integrated circuits
Type: BOOK - Published: 2012 - Publisher:

DOWNLOAD EBOOK

The objective of this research is to explore the feasibility of addressing the major performance and reliability problems or issues, such as wirelength, stress-
Design for High Performance, Low Power, and Reliable 3D Integrated Circuits
Language: en
Pages: 573
Authors: Sung Kyu Lim
Categories: Technology & Engineering
Type: BOOK - Published: 2012-11-27 - Publisher: Springer Science & Business Media

DOWNLOAD EBOOK

This book provides readers with a variety of algorithms and software tools, dedicated to the physical design of through-silicon-via (TSV) based, three-dimension
Early Layout Design Exploration in TSV-based 3D Integrated Circuits
Language: en
Pages: 168
Authors:
Categories: Integrated circuits
Type: BOOK - Published: 2017 - Publisher:

DOWNLOAD EBOOK

Through silicon via (TSV) based 3D integrated circuits have inspired a novel design paradigm which explores the vertical dimension, in order to alleviate the pe
Dynamic Through Silicon Via Clustering in 3D IC Floorplanning for Early Performance Optimization
Language: en
Pages: 150
Authors:
Categories: Integrated circuits
Type: BOOK - Published: 2020 - Publisher:

DOWNLOAD EBOOK

Through-silicon via (TSV)-based three-dimensional integrated circuits (3D ICs) are expected to be the breakthrough technology for keeping up with the scaling tr
Electrical Design of Through Silicon Via
Language: en
Pages: 286
Authors: Manho Lee
Categories: Technology & Engineering
Type: BOOK - Published: 2014-05-11 - Publisher: Springer

DOWNLOAD EBOOK

Through Silicon Via (TSV) is a key technology for realizing three-dimensional integrated circuits (3D ICs) for future high-performance and low-power systems wit